Clock sketch 3d models
3dmdb logo

77 clock sketch 3d models found

Download or buy, then render or print from the shops or marketplaces. 3D Models below are suitable not only for printing but also for any computer graphics like CG, VFX, Animation, or even CAD. You can print these 3d models on your favorite 3d printer or render them with your preferred render engine. Please note that the 3D model database is only a Search Engine. You should visit the original websites. Most of the models can be easily imported and rendered with Autodesk 3ds Max, Maya, Blender, C4D, and Sketchup. Check for online 3d model conversions tools for your file format.
3d_sky
free
Watch

Watch

...watch 3dsky clock max 2009, created the...
thingiverse
free
Binary Clock by IU8IHA

Binary Clock by IU8IHA

...arduino nano, maxim 7219 chips and ds3231 clock the sketch for arduino i working on...
thingiverse
free
Retro 7 Segment Clock XT (Extension Parts) by parallyze

Retro 7 Segment Clock XT (Extension Parts) by parallyze

...as many modules as you'd like to... just use sketch v5 from the source clock and set led_digits from...
thingiverse
free
The Big bang theory clock by thedennis6

The Big bang theory clock by thedennis6

...somebody on internet made out of vinyl and i sketchd in solidworks and printed. you can print this in...
thingiverse
free
3D Printable NeoMatrix 8x8 Word Clock by PawArmy

3D Printable NeoMatrix 8x8 Word Clock by PawArmy

...you want to make adjustments as is the arduino sketch i modified for the time setting...
thingiverse
free
Grid Clock v2 - Vertical Mod

Grid Clock v2 - Vertical Mod

...be flipped upside down by changing "flipy" inside the sketch ...
thingiverse
free
Clock case for FireBeetle with openScad by mauroalfieri

Clock case for FireBeetle with openScad by mauroalfieri

...x 15mm n.1 power supply microusb 5v 1,2ah the sketch and more info about the project on the blog:...
thingiverse
free
Wifi Tetris Clock on ESP8266

Wifi Tetris Clock on ESP8266

...board such as the wemos d1 r1. (updated documented sketch included) brian's clock appeared in hackspace and i have...
thingiverse
free
Mini Word Clock by wabbitguy

Mini Word Clock by wabbitguy

...http://www.instructables.com/id/esp8266-ntp-timezone-clock-with-wifi-manager/) note: all settings for options are in the sketch there are no external buttons for...
thingiverse
free
Arduino based clock using 16x32 RGB LED matrix by stepanov1975

Arduino based clock using 16x32 RGB LED matrix by stepanov1975

...reproduce this clock. eagle schematic and pcb layout files, sketchs and case drawing. in file seeedstudio_gerber_files.zip you can find...
thingiverse
free
Word Clock by wabbitguy

Word Clock by wabbitguy

...you name it. dec 15, 2017 - added arduino sketch for wemos. requires a photo resistor to handle auto...
thingiverse
free
Wall Decoration - Picture Holder by Athruz

Wall Decoration - Picture Holder by Athruz

...in target the other day get a few 4$ clock to take apart for the movements. i saw these...
thingiverse
free
Lazy Grid Clock v2 by parallyze

Lazy Grid Clock v2 by parallyze

...a look at my post on instructables for this:https://www.instructables.com/id/lazy-grid-clock-v2/ sketch is based on the one of my other things,...
thingiverse
free
Tower of Terror Clock by HRJunior

Tower of Terror Clock by HRJunior

...a servomotor , an arduino and a rtc (realtimeclock) sketch : https://anotepad.com/notes/y36yxd you can use a cnc to cut...
thingiverse
free
WiFi Retro Game Clock by KiwiGrinder

WiFi Retro Game Clock by KiwiGrinder

...a number of sites that describe the process. the sketch and associated libraries are included. operation the code is...
thingiverse
free
WiFi Grid Clock

WiFi Grid Clock

...replaced with a wemos d1 r1 module. an updated sketch to support a wemos and ntp server is included....
thingiverse
free
Berlin Clock (BerlinUhr)  by msickel

Berlin Clock (BerlinUhr) by msickel

..."ssd1306 some cables, screws, solderingstation, resistors for the led´s sketch for arduino (attached in the docx) after looking to...
thingiverse
free
Day/Night Clock by jbenuck

Day/Night Clock by jbenuck

...day/night clock by jbenuck thingiverse update 7/6/17: added sketch used to display the clock. this is a clock...
thingiverse
free
Real Tower Clock by imperatormaximus

Real Tower Clock by imperatormaximus

...you also should know about how to get arduinio sketchs running. also this clock runs on its own just...
thingiverse
free
LED Logo Clock by ewplayer3

LED Logo Clock by ewplayer3

...ground on each side. i've also included the arduino sketch i wrote for the clock. you'll need to download...
thingiverse
free
3D Printed Wall clock with lots of colors. by tutuemma

3D Printed Wall clock with lots of colors. by tutuemma

...went back up on the wall. i fired up sketchup… and wore my thinking cap, hours later i had...
thingiverse
free
Simple 8x8 LED Matrix Light/Clock by Roboman444

Simple 8x8 LED Matrix Light/Clock by Roboman444

...leds with the sunrise. based off the ntp client sketch provided by arduino, and using the dusk2dawn library, modified...
thingiverse
free
Discrete 7 Segment LED Clock by KiwiGrinder

Discrete 7 Segment LED Clock by KiwiGrinder

...with is associated components. all eagle files and arduino sketch have been included along with the new back stl...
thingiverse
free
Grid for LED strips - Extension by parallyze

Grid for LED strips - Extension by parallyze

...the pictures. but if you change the supplied sketch (gridclockv6) from the other thing it'll work without problems. change...
thingiverse
free
Lunar phase clock neopixel version by Unknown

Lunar phase clock neopixel version by Unknown

...by unknown thingiverse this is just the same arduino sketch but using neopixels instead of dotstar strips. while the...
thingiverse
free
Adafruit BTTF Time Circuit Case by stefi01

Adafruit BTTF Time Circuit Case by stefi01

...as maybe a better box than what i did https://www.instructables.com/id/3d-printed-back-to-the-future-time-circuit-clock at least this one makes...
thingiverse
free
GPS Clock by Empiricus

GPS Clock by Empiricus

...a super accurate arduino gps clock. basic description and sketch here: learn.adafruit.com/arduino-clock/software?view=all i expanded this with a charger, lipo,...
thingiverse
free
The Fifth Element "Zorg Door Bomb" Clock by RyeMAC3

The Fifth Element "Zorg Door Bomb" Clock by RyeMAC3

...common, run-of-the-mill red leds. i also included my original sketchp file if you need to make any tweaks to...
thingiverse
free
Retro 7 Segment Clock XL by parallyze

Retro 7 Segment Clock XL by parallyze

...them and one of the first parameters inside the sketch adjust this according to the model you're using and...
thingiverse
free
LOL Clock redesign by KiwiGrinder

LOL Clock redesign by KiwiGrinder

...to set the time. all eagle files and arduino sketch have been included along with the new back stl...
thingiverse
free
Daily earring #28: Pendulum clock by Schorhr

Daily earring #28: Pendulum clock by Schorhr

...may work without additional parts. sorry for the crude sketchs. ...
thingiverse
free
7 Segment LED Smart Clock by Surrbradl08

7 Segment LED Smart Clock by Surrbradl08

...these pieces. 3. testing the leds upload the clock_mqtt.ino sketch onto the esp8266, if the leds don't show 0:0:0:1...
thingiverse
free
POV Clock and Stand by KiwiGrinder

POV Clock and Stand by KiwiGrinder

...the toner method to make it. software the arduino sketch and associated libraries are...
thingiverse
free
Weather plotter by jacoblytzen

Weather plotter by jacoblytzen

...instructions in how to assemble and calibrate the plot clock follow the link http://www.thingiverse.com/thing:248009. we’ve made some small modifications...
thingiverse
free
WeMos Flower Alarm Clock

WeMos Flower Alarm Clock

...on the back of the board. software the arduino sketch has been included. i used the buttons in the...
thingiverse
free
Church Steeple Christmas Tree Ornament

Church Steeple Christmas Tree Ornament

...pa. funding for its restoration has been ongoing in 2019.https://www.firstucceaston.org/our-church-history/our-steeple-a-clocktower.html ...
thingiverse
free
Retro 7 Segment Clock (Complete) by parallyze

Retro 7 Segment Clock (Complete) by parallyze

...in the pictures. update - 2020/06/02 added draft of sketch v6 which can be compiled for nodemcu/esp8266. as formatting/markdown...
thingiverse
free
Handheld Sonic Radar by rjlew

Handheld Sonic Radar by rjlew

...mega 2560, uno, and nano operate at the same clock speed. the display shield is only for the mega...
thingiverse
free
Church Steeple

Church Steeple

...pa. funding for its restoration has been ongoing in 2019.https://www.firstucceaston.org/our-church-history/our-steeple-a-clocktower.html the top part of the steeple used a very...
thingiverse
free
Retro 7 Segment Clock SE (Small Edition) by parallyze

Retro 7 Segment Clock SE (Small Edition) by parallyze

...them and one of the first parameters inside the sketch adjust this according to the model you're using and...
thingiverse
free
Marble Clock "Time Rolls On" by HFoG

Marble Clock "Time Rolls On" by HFoG

...a good time to flash the arduino with the sketch i’ve provided and calibrate the clock. note that the...
thingiverse
free
Iphone 5 or 5s Imac by hugoarchicad

Iphone 5 or 5s Imac by hugoarchicad

...an iphone 5-5s i am using an app called clock, you can dim the brightness of it and it...
thingiverse
free
Chess Clock by WillemvD

Chess Clock by WillemvD

...supplies 5 volts, 1 or 2 amps. the arduino sketch below makes it all work. //nano half step mode...
thingiverse
free
Heatsink support for Raspberry Pi 3 - Overkill Cooling Solution  by Skorium

Heatsink support for Raspberry Pi 3 - Overkill Cooling Solution by Skorium

...100% speed at all time. nice and cool, now clock speed is not reduced and the rpi doesn't crash...
thingiverse
free
binary watch by swortain

binary watch by swortain

...in response to serial mesg } processing part: /** syncarduinoclock portindex must be set to the port connected to...
thingiverse
free
G2S Universal Effector Lazer Zprobe by wbrucem

G2S Universal Effector Lazer Zprobe by wbrucem

...above. be sure to select the "attiny85, 8mhz internal clockquot; and burn the bootloader to configure the chip for...
thingiverse
free
Flickering Tealight LED Candle Remix

Flickering Tealight LED Candle Remix

...the code is for an attiny85 with 1 mhz clock frequency. another attiny-version might need a different programming of...
thingiverse
free
Arduino Automatic Chicken Coop Door by BasementCreations

Arduino Automatic Chicken Coop Door by BasementCreations

...as well (my actuator uses max 1.5a). 1x real-time clock module (ds3231) 2x relay module.i used those https://pl.aliexpress.com/item/32909882481.html?spm=a2g0o.productlist.0.0.13b87282eudctk&algo_pvid=dc7d0e17-5a6d-43dc-afdd-a59ea1219c30&algo_expid=dc7d0e17-5a6d-43dc-afdd-a59ea1219c30-2&btsid=0b0a187b15966948127254604e4a32&ws_ab_test=searchweb0_0,searchweb201602_,searchweb201603_ 1x...
thingiverse
free
Caliper Data Connector by JMadison

Caliper Data Connector by JMadison

...up the soldered ends as needed. the data and clock signals coming from the caliper are 1.5v. i’ve included...
thingiverse
free
Wired Virtual Wall Barrier for iRobot Roomba Automatic Cleaner by Renaissance3DStudio

Wired Virtual Wall Barrier for iRobot Roomba Automatic Cleaner by Renaissance3DStudio

...your arduino library. //#include <avr/sleep.h> //#include <avr/wdt.h> //#include //sys clock set to 16000000 hz currently in boarddefs.h for irremote...
grabcad
free
Broken Clock

Broken Clock

...3d model of an broken clock, inspired by an sketch made by an artist. the main theme of this...
grabcad
free
My Clock Project

My Clock Project

...clock for the livingroom and thought...why not build one?! sketch & rendering with pictures of the actual clock as...
grabcad
free
Broken clock "Time theme"

Broken clock "Time theme"

...3d adesign of an brocken clock made from an sketch which , if you ask me is an interesting...
cg_trader
$5
ALLORA Wall Clock by Calligaris

ALLORA Wall Clock by Calligaris

...a canvas or the spontaneous energy of a hand sketch name: allora_wall_clock_by_calligaris version: 2013 preview: no units: centimeters dimension:...
grabcad
free
Pocket Watch

Pocket Watch

...pocket watch grabcad pocket watch migration. clockface is about from 1890's and it was the hardest...
grabcad
free
Mechanical_watch

Mechanical_watch

...wheel sketches using british horological institute book called "drawing clock and watch escapements". all gears have exactly right number...
cg_trader
$25
Leonardo Da Vinci Machines Pack

Leonardo Da Vinci Machines Pack

...woodenmachine revival renaissance art writing davinci leonardo various models modelsclock time mechanism weights metallic various...
grabcad
free
The Carus Dock Challenge - GenCharger

The Carus Dock Challenge - GenCharger

...to show time it could turn into a alarm clock or something along those lines. it could eventually also...
grabcad
free
Classic Escapement - Graham (Modified)

Classic Escapement - Graham (Modified)

...classic escapement - graham (ideal). based on the book clock and watch escapement mechanics by mark v. headrick (copyright...
grabcad
free
Classic Escapement - Recoil (Brocot)

Classic Escapement - Recoil (Brocot)

...escapement - recoil (brocot) grabcad based on the book clock and watch escapement mechanics by mark v. headrick (copyright...
grabcad
free
Classic Escapement - Graham (Ideal)

Classic Escapement - Graham (Ideal)

...you understand that, when the palette is rotating also clockise (the second swing), nothing but an imposed motion applied...
grabcad
free
Things i did at school 4 (assemblies design and analysis)

Things i did at school 4 (assemblies design and analysis)

...the cheap mechanical balances that look like an alarm clock and with a bowl that is also used as...
grabcad
free
Seattle Space Needle, Sheetmetal puzzle, 3d puzzle, metalcraftdesign

Seattle Space Needle, Sheetmetal puzzle, 3d puzzle, metalcraftdesign

...time an issue, the construction team worked around the clock the domed top, housing the top five levels (including...
3dwarehouse
free
Wall Clock

Wall Clock

...wall clock 3dwarehouse a simple sketch of a wall clock. 14' diameter. #clock...
3dwarehouse
free
Casio Clock

Casio Clock

...3dwarehouse a casio clock, nothing special. by : twl sketchng team #10 #41 #casio #clock...
3dwarehouse
free
Clock plans

Clock plans

...clock plans 3dwarehouse components are roughly sketchd. ...
3dwarehouse
free
Digital Alarm Clock

Digital Alarm Clock

...alarm clock 3dwarehouse digital alarm clock 3d model, using sketch up 6 pro. #alarm #clock #digital #digital_clock...
3dwarehouse
free
Prim+Toy

Prim+Toy

...prim+toy 3dwarehouse sketch up buildgin :: clock tower, chulajakapong...
3dwarehouse
free
Fall Out Boy clock

Fall Out Boy clock

...just thought i would upload it. its my first sketch up creation! #clock...
3dwarehouse
free
Bird wall clock - Orologio a Cucú

Bird wall clock - Orologio a Cucú

...and released for free use in your internal design sketchs # #all #bird_clock #clock #cucú #home_component #internal_design_component #lintukello #makingeo...
3dwarehouse
free
Desk Clock

Desk Clock

...just in front of the computer. so i have sketchd it up here. this is my first work, so...
3dwarehouse
free
Clock's

Clock's

...clock's 3dwarehouse by sketch up azzahra #bunga_ungu #cool #figure #girls_clocks #girly #good #nomor...
3dwarehouse
free
Ramp to be reviewed...

Ramp to be reviewed...

...the bottom is the ground - and yes... the clock needs bracing, just not on sketch up. #arts #ash...
3dwarehouse
free
giant unfinished clock gear

giant unfinished clock gear

...unfinished clock gear 3dwarehouse first attempt at using google sketch i was mainly just scoping out what i could...
3dwarehouse
free
Klok

Klok

...cursus google sketch-up 2012 gltt halle #beautiful #bleu #clint #clock #dynamic #gltt #gorgeous #klok #red #van_hoorebeeck...
3dwarehouse
free
A simple table clock Project29

A simple table clock Project29

...on digispark kickstarter development board attiny85 is used. a sketch is available upon request. a 5v gear motor was...
3dwarehouse
free
Labyrinth 01

Labyrinth 01

...houses hidden inside somewhere., all with pitched roofs, red clock and some with furnature inside. ok, see if you...