Clock enabling 3d models
Warning. This content is not moderated and could be offensive.
rush
3dmdb logo

64 clock enabling 3d models found

Download or buy, then render or print from the shops or marketplaces. 3D Models below are suitable not only for printing but also for any computer graphics like CG, VFX, Animation, or even CAD. You can print these 3d models on your favorite 3d printer or render them with your preferred render engine. Please note that the 3D model database is only a Search Engine. You should visit the original websites. Most of the models can be easily imported and rendered with Autodesk 3ds Max, Maya, Blender, C4D, and Sketchup. Check for online 3d model conversions tools for your file format.
3d_ocean
$5
Alarm Clock

Alarm Clock

...ender scene included (render setting, lights). scene have two model: high and lowpoly. model enable to smooth. model have .psd...
thingiverse
free
Plot clock&weather station, button enabled by linahirsch

Plot clock&weather station, button enabled by linahirsch

...pin)!=high){ //execute weather code } update source code can be found here:https://github.com/imbalino/plotclock-weatherstation
thingiverse
free
rect wall clock printed by shire

rect wall clock printed by shire

...re thingiverse a larger version of the wall clock, to enable the use of the included 'hanger' on most clock movements, .
unrealengine
$30
Functional Grandfather Clock and Furniture Pack

Functional Grandfather Clock and Furniture Pack

...of blueprints and props enables you to decorate your scene with a functional grandfather clock, an interactive desk and furniture
thingiverse
free
clock with thermometer/hygrometer printed by shire

clock with thermometer/hygrometer printed by shire

...new variation of this combination, new design to enable printing with no assembly needed no raft,no support, 25% infill,.35 layer
thingiverse
free
Children's Practice Clock

Children's Practice Clock

...to read both analog and digital clocks. i recommend enabling supports for interactive_clock_body.stl. a drop of superglue in the...
thingiverse
free
Mesqool Projection Clock Wall Mount Bracket by kozlowc

Mesqool Projection Clock Wall Mount Bracket by kozlowc

...-mesqool-projection-bedrooms/dp/b016qctx7g/ref=sr_1_3?ie=utf8&qid=1531914212&sr=8-3&keywords=mesqool+projection+clock
thingiverse
free
I'm Late Clock

I'm Late Clock

...i have also included the numbers and letters as separate stl files for mmu prints in prusaslicer or for multiple processes in s3d
thingiverse
free
Galileo's Pendulum Clock by ZombieCat

Galileo's Pendulum Clock by ZombieCat

... period by making it easy for students to move washers or large nuts along notches on the pendulum (full length and half-way up).
thingiverse
free
ESP8266 Wemos Clock with buttons and brightness sensor by Patave

ESP8266 Wemos Clock with buttons and brightness sensor by Patave

...u will see on files that a modification of button is required. be careful to the cutting axis video: https://youtu.be/rfd-mv85be4
3dbaza
$4
Set with Protea and Plaster board (250716)

Set with Protea and Plaster board (250716)

...containers - afteroom caddy<br>tray - noren tray by josé bermúdez<br>clock notebook, glasses, protea fresco<br>on some objects, the turbosmooth modifier...
thingiverse
free
Modified Clock with Timer and Jeedom API by cocoboy

Modified Clock with Timer and Jeedom API by cocoboy

...=> disable all the leds of the clock and hedge leds // modelove => set the word love (loue) on the clock in full pink color
thingiverse
free
Amiga Clock by ioio72

Amiga Clock by ioio72

...clock, disable fastening_elements, set your desired time in clock_time_hour and clock_time_minute and export all parts together.
thingiverse
free
Star Wars Death Star Diorama Wall Clock by lostinseale

Star Wars Death Star Diorama Wall Clock by lostinseale

...hat i created these from were shells, not solid models. i just had fun with it. i am still not done. i have two more to print.
thingiverse
free
Hexmatrix Slim by joetek

Hexmatrix Slim by joetek

...this is a remix of the awesome hexmatrix iot clock from mukesh sankhla described here:https://www.instructables.com/rgb-hexmatrix-iot-clock/ in the original, most...
thingiverse
free
Hexmatrix Slim by joetek

Hexmatrix Slim by joetek

...this is a remix of the awesome hexmatrix iot clock from mukesh sankhla described here:https://www.instructables.com/rgb-hexmatrix-iot-clock/ in the original, most...
thingiverse
free
DIY 3D Printed Clock by ChrisFerenceID

DIY 3D Printed Clock by ChrisFerenceID

...quot;clock" at checkouthttps://www.boxzy.com how i made this project: https://www.instructables.com/id/diy-3d-printed-clock/
thingiverse
free
ESP12-E Wireless Clock - WS2812b housing by gunkl

ESP12-E Wireless Clock - WS2812b housing by gunkl

...d to enable local echo. to do add daylight savings support make a new lid design that's a little more aesthetically pleasing.
3dbaza
$4
Wooden rack with decor (332464)

Wooden rack with decor (332464)

...lampshade - lucerna natural am.pm la redoute<br>decorative vases, shelf decor<br>clock radio, gypsum panel<br>on some objects turbosmooth is enabled for...
thingiverse
free
40 MM NATO to AOSafety R5700 8051 Bayonet Adapter by AgapeModeling

40 MM NATO to AOSafety R5700 8051 Bayonet Adapter by AgapeModeling

...description: 40mm_to_aos_r5700_8051_bayonet_adapter_mono.stl single piece construction, as there are no clockng requirements for aos 8051 circular cartridges. 40mm_to_aos_r5700_8051_bayonet_adapter_inner_shell_low.stl 40mm_to_aos_r5700_8051_bayonet_adapter_outer_shell_low.stl two...
thingiverse
free
Filament Meter by kjaeger

Filament Meter by kjaeger

...!!!!!!!!! include "avr/interrupt.h"; include arduino.h include u8x8lib.h u8x8_ssd1306_128x64_noname_sw_i2c u8x8(/ clock/ 2, / data=/ 0, / reset=/ u8x8_pin_none); // digispark...
thingiverse
free
Modularino - arduino based modular step-sequencer by x2mirko

Modularino - arduino based modular step-sequencer by x2mirko

...the routing, it could be easily replaced by a clockng circuit. the plan is to connect the brain switch...
blendswap
free
ClockHMS

ClockHMS

...ar script (add_mesh_gear.py).here is the video:https://vimeo.com/79598280latest change on 2013/11/17, 06:40:43added sample video.
thingiverse
free
Classic Mac iPod Nano Dock With Headphone Hole by wottle

Classic Mac iPod Nano Dock With Headphone Hole by wottle

...to wake the nano, and it should display the clock the display should not turn off. i no longer...
thingiverse
free
WeMos Flower Alarm Clock

WeMos Flower Alarm Clock

...3:00am. i have included other examples for us eastern time zone (new york, detroit) and central european time (frankfurt, paris).
3dbaza
$6
HIBA Writing desk with an extension  (135361)

HIBA Writing desk with an extension (135361)

...for computer work.<br>3. financiell<br>ornament, horse, black, 26 cm<br>4. alarm clock black decad<br>5. ikea perlband candle holder for warming candles<br>size:...
thingiverse
free
Dumbot Revision 2 by hmikelson

Dumbot Revision 2 by hmikelson

...6-2),adon bit 1 enable analog adcon1bits.adcs = 0b111; // clock select dedicated osc adcon1bits.adnref = 0; //neg ref adcon1bits.adpref...
thingiverse
free
Parametric Negative Test by am51thv

Parametric Negative Test by am51thv

...objects with internal mechanical parts, such as hinges, bolts, clock, kaleidocycles, etc.. to achieve such precision, it is advisable...
thingiverse
free
G2S Universal Effector Lazer Zprobe by wbrucem

G2S Universal Effector Lazer Zprobe by wbrucem

...above. be sure to select the "attiny85, 8mhz internal clockquot; and burn the bootloader to configure the chip for...
thingiverse
free
splitFlapClockRadio by iz2k

splitFlapClockRadio by iz2k

...sor data and calibration. weather weather data, location configuration and api keys. historic logged weather and air quality data
thingiverse
free
Heatsink support for Raspberry Pi 3 - Overkill Cooling Solution  by Skorium

Heatsink support for Raspberry Pi 3 - Overkill Cooling Solution by Skorium

...100% speed at all time. nice and cool, now clock speed is not reduced and the rpi doesn't crash...
thingiverse
free
Wired Virtual Wall Barrier for iRobot Roomba Automatic Cleaner by Renaissance3DStudio

Wired Virtual Wall Barrier for iRobot Roomba Automatic Cleaner by Renaissance3DStudio

...your arduino library. //#include <avr/sleep.h> //#include <avr/wdt.h> //#include //sys clock set to 16000000 hz currently in boarddefs.h for irremote...
thingiverse
free
7 segment clock by random1101 for ESPHome by Alex18881

7 segment clock by random1101 for ESPHome by Alex18881

...i] + ledsoffset; it[ledindex] = espcolor(color[0], color[1], color[2]); } } }
thingiverse
free
Load Cell Mount & Bed Support for under bed load cell Z-probe by kasom_k

Load Cell Mount & Bed Support for under bed load cell Z-probe by kasom_k

...and +3v of the stm32 board. connect all sck (clock pin of the hx711 boads to pin pa7 of...
thingiverse
free
Wi-fi Desktop clock and weather forecast display by krokus57

Wi-fi Desktop clock and weather forecast display by krokus57

... python rpiwsi.py after successfull setup you can get current screenshot from raspberry using browser: http://raspberry_ip:8080 .
thingiverse
free
plot thermometer / clock EXPO pen parts by truglodite

plot thermometer / clock EXPO pen parts by truglodite

... some expo chisel tip pens at sam's club, and made some parts to go with them here: https://www.thingiverse.com/thing:3064267
thingiverse
free
LedBox V2 - ESP32 sound-reactive WLED controller by stanleyondrus

LedBox V2 - ESP32 sound-reactive WLED controller by stanleyondrus

...buffer capacitor, 3.3v/5v level converter for both data and clock lines, together with an impedance matching resistor. these features...
thingiverse
free
Watch winder for 2 automatic watches by noteasy2

Watch winder for 2 automatic watches by noteasy2

...control method 1: programmable timer and an usb power supplierhttp://www.dx.com/p/digital-lcd-electronic-plug-in-programmable-timer-12-24-hour-switch-socket-with-clocksummer-time-416027#.wkmjjpeyo70 control method 2: raspberry pi zero with a modified...
renderosity
$15
Parlour of Mystery

Parlour of Mystery

...point lights<br /> - crystal ball<br /> - mantle clocklt;br /> - side table<br /> - parlour table<br />...
thingiverse
free
Optimized Nonlethal Door Stop Multitool by ChrisMUC

Optimized Nonlethal Door Stop Multitool by ChrisMUC

...hanging it around your neck. the rappers hung alarm clock around their neck in the '80s, so why not?...
cg_trader
$6
Wooden Clock with Gravity Escapement

Wooden Clock with Gravity Escapement

... use a scrollsaw or a sophisticated cnc machine, these plans have everything you need and more to construct this beautiful clock.
grabcad
free
Mechanical Shift Register

Mechanical Shift Register

...and two pneumatic actuators which function as enables, or clock. the input is latched at the outputs as q...
cg_trader
$4
Vintage Clock

Vintage Clock

...jects so you can easily animate each moving on its own. if you have any questions or concerns please feel free to message me :)
grabcad
free
Steampunk Clock Puzzle Box w/Compass

Steampunk Clock Puzzle Box w/Compass

...two cams on the inside lift the locking pins enabling the side handles to be slid out. the front...
grabcad
free
Steampunk Clock Puzzle Box w/Compass

Steampunk Clock Puzzle Box w/Compass

...two cams on the inside lift the locking pins enabling the side handles to be slid out. the front...
cg_trader
$49
Striking Clock Mechanism

Striking Clock Mechanism

...ock mechanism mechanical hour time gears movement swiss striking bell cogs cog gear various models various models clock mechanism
cg_trader
$65
Pendulum Clock Mechanism

Pendulum Clock Mechanism

...gears cog cogs weights chains bell striking movement mechanical vintage retro old industrial part industrial part clock mechanism
grabcad
free
Astronomical Clock

Astronomical Clock

...intenance it can last forever. quality: -the clock is created from high quality materials. - attention to detail on all aspects.
cg_trader
$29
Clock Face

Clock Face

...h time wallclock decor roman numbers vintage vintage old retro gold vintage old vintage old retro household tools household tools
cg_trader
$85
Grandfather Clock

Grandfather Clock

...sm gear cog gears cogs interior living room living room old room clock mechanism interior room living room old room room interior
grabcad
free
Plastic Clock

Plastic Clock

.... this is why i prefer a screen view quality with outlines [save as tif/jpg from sw menu] which really makes the mechanism clear.
cg_trader
$2
Castle tower

Castle tower

...visibility of other features attached to the tower such clock towers; as part of a larger structure or device...
cg_trader
$10
interiors   architectural  other  Sand glass 3D model

interiors architectural other Sand glass 3D model

...jobs. hourglass glass sand minute hour second timer timepiece clock alarm breitling chronometer household other interior hour...
cg_trader
$40
Watchmaker Desk

Watchmaker Desk

...maker desk table work workbench bench station watchmaker jewelry clock time vise repair fix mechanical wood wooden retro vintage...
grabcad
free
Kubler CODIX 130 Counter

Kubler CODIX 130 Counter

...various counting modes like easy up/down, differential and phase clock even with pulse doubling for connection to incremental encoders....
grabcad
free
Carus Charge Dock Suite

Carus Charge Dock Suite

...dock, giving the opportunity to show some menu-features like clock alarm, date, maybe also app-features. two usb-plugs near the...
grabcad
free
MPU-9255

MPU-9255

...other industry-leading features include programmable digital filters, a precision clock with 1% drift from - 40°c to 85°c, an...
3dwarehouse
free
modern, new and never made

modern, new and never made

...dock, a clock and still some air! #air #airvent #clockled_lights #dock #energy #ipod #lifesize #modern #never_been_created #small_wind_turbine #squarish #tubes...
3dwarehouse
free
TOWER

TOWER

...visibility of other features attached to the tower such clock towers; as part of a larger structure or device...
3dwarehouse
free
2006 Ford Fiesta Rallye Concept

2006 Ford Fiesta Rallye Concept

...compete against the toughest adversary of all — the clock the fiesta sportingtrophy will be based around the fiesta...
3dwarehouse
free
AMD RX 460

AMD RX 460

...graphics gcn architecture4th generation compute units14 cus stream processors896 clock speeds (boost / base)1200 / 1090 mhz peak performanceup...
3dwarehouse
free
ZOTAC GeForce GTX 1080 Ti ArcticStorm Mini 2.0

ZOTAC GeForce GTX 1080 Ti ArcticStorm Mini 2.0

...3584 video memory 11gb gddr5x memory bus 352-bit engine clock base: 1506 mhz boost: 1620 mhz memory clock 11.0...
3dwarehouse
free
GTX 1070 EVGA

GTX 1070 EVGA

...never before seen overclocking features and built in automatic overclock tuning. mods rigs 1k evga bucks sponsorship for every...
3dwarehouse
free
Suzuki GSX-1000 RR Commemorative Edition

Suzuki GSX-1000 RR Commemorative Edition

...readouts include odometer, dual trip meter, reserve trip meter, clock coolant temperature/oil pressure warning indicator, gear position indicator, lap...